- remove latch flag from sv_cheats

This commit is contained in:
Rachael Alexanderson 2022-08-11 15:43:22 -04:00
parent 04a6fa321b
commit 8e50c59301
1 changed files with 1 additions and 1 deletions

View File

@ -73,7 +73,7 @@
extern FILE *Logfile;
extern bool insave;
CVAR (Bool, sv_cheats, false, CVAR_SERVERINFO | CVAR_LATCH)
CVAR (Bool, sv_cheats, false, CVAR_SERVERINFO)
CVAR (Bool, sv_unlimited_pickup, false, CVAR_SERVERINFO)
CVAR (Int, cl_blockcheats, 0, 0)